返回顶部
今日    | 手机版 | 资讯 | 产品 | 企业 | 热点 | 商道 | 原料 |
返回首页
返回首页
home 您现在的位置: 首页 >系统及软件>机械应用 > 详细信息
集成电路产业链深度报告:制造、封测、设备、材料
2021年01月19日    阅读量:1295     新闻来源:中国机械网 okmao.com    |  投稿

1、 集成电路景气回暖,集成化趋势持续推进

在半导体产业链中,上游由 EDA、材料、设备三大行业构成,中游由芯片设计、制 造、封测三大环节构成,下游由集成电路、分立器件、光电子、传感器四大品类构成。从市场规模来看,全球半导体行业由几百亿美元市场规模的半导体设备、材料行业 为基石,延展成市场规模达几十万亿美元的巨大应用市场。

集成电路产业链深度报告:制造、封测、设备、材料 中国机械网,okmao.com

全球半导体产业市场规模巨大,预计 2021 年开始复苏中国机械网okmao.com。随着物联网、人工智能、 汽车电子、智能手机、智能穿戴、云计算、大数据和安防电子等为主的新兴应用领域 需求不断增长,全球半导体产业迅速发展,市场规模巨大。根据 Gartner 的统计,2018 年全球半导体行业收入为 4761.51 亿美元,2019 年受全球宏观经济低迷影响,半导 体行业景气度有所下降,规模同比下降 11.97%,为 4191.48 亿美元,但 2019H2 开 始,半导体市场已逐步回暖,伴随着 2020 年 5G 建设的快速发展,可穿戴设备及云 服务器市场稳健成长,预计全球半导体行业 2021 年开始复苏,2024 年市场规模有望 达到 5727.88 亿美元。


全球市场预将扩张,国产替代持续推进:根据 SIA 预测,1987-2018 年全球半导体市 场规模呈波动性扩张趋势,在 2019 年市场规模小幅萎缩后,2020-2021 年应属于下 一半导体市场上行周期的开端。在中美贸易摩擦激化了供应链风险后,半导体自主可控既成为国家的发展政策,也成为国产终端产品厂商推进国产化替代的巨大动力, 因此,在国产化替代全面加速的背景下,预计 2021 年国产集成电路厂商的发展速度 将快于全球平均水平。


2021 年汽车、工业预将增速加快,2022 年数据中心预将迎来高速增长期:根据 PWC 预测,在 2021 年,汽车和工业领域市场扩张速度将显著加快、分别达 18%和 16%, 而历经 2020 年高速增长期的通讯领域市场扩张速度将显著放缓、仅 0.6%,与之相 反,受疫情影响 2020 年有所萎缩的消费电子领域扩张速度将明显回暖、达 26%。作 为持续扩张的优质赛道,叠加疫情催动下在家办公需求提升,根据 PWC 预测,2020 年数字中心赛道扩张速度将达 4.0%,而 2021 年该市场增速将放缓至 1.1%,不过, 2022 年数据中心市场扩张速度将达 7.0%,即 2021H2 或将迎来数字中心产业链厂商 的基本面拐点。

集成电路产业链深度报告:制造、封测、设备、材料 中国机械网,okmao.com

芯片内集成化持续推进,芯片间集成化趋势确立:集成电路即高度集成化的电路, 依托先进制造工艺对集成化的助力作用,不仅单位面积芯片内蕴含了更多的晶体管, 而且相互配合的芯片间也呈现出了明显的整合趋势。在芯片内,相较于 2018 年 40nm 以下制程占比为 40%,2019 年代工厂 40nm 以下制程占比达 47%、呈显著提升趋势。在芯片间,随着消费电子产品体积的缩小化以及功能的多样化,从主芯片来看,CPU、 GPU 和内存控制器整合为 SoC 使得北桥芯片消失;从外围芯片来看,光学和声学功 能的高端需求加速了传感器、模拟芯片和数字处理芯片的整合。


SoC 趋势持续演化,模数混合成为前进方向:由于物联网产品的体积较小且对连接 模块需求较高,随着新兴产品的持续放量,主芯片的 SoC 化预将愈发显著。随着摄 像头模组、声学模组和电源管理模块等功能模块的日益复杂化,叠加主芯片内核数 量及制程推进均陷入瓶颈期,一方面外围芯片需要更强的算力和更低的信息耗损, 另一方面有限线程的主芯片需要信号被预处理,那么,外围模块的信息处理能力和 “传感器+模拟芯片+数字芯片”的整合便愈发重要。


2、 晶圆制造中先进制程未来必定依赖代工模式,前景可期

2.1、 晶圆制造是集成电路产业链核心环节,晶圆代工规模稳定增长


晶圆制造是集成电路产业链中的核心环节。集成电路制造是指主要以 8 英寸或 12 英寸的晶圆为原材料,将光掩模上的电路图形信息大批量复制到晶圆上,并在晶 圆上大批量形成特定集成电路结构的过程,其技术含量高、工艺复杂,在芯片生产过 程中处于至关重要的地位。


技术节点以晶体管之间的线宽为代表,是衡量集成电路制造工艺水平的主要指 标。线宽指晶圆上制造集成电路的工艺可达到的最小沟道宽度,以 CMOS 工艺为例, 其线宽一般为该工艺制作的晶体管的栅极长度。随着先进光刻技术、3D 封装技术等 不断涌现,各种先进工艺不断改进和完善,集成电路已由本世纪初的 0.35 微米的 CMOS 工艺发展至纳米级 FinFET 工艺,同时,作为集成电路的衬底,晶圆的直径已 经由最初的 6 英寸、8 英寸增长到现在的 12 英寸。目前,全球前十大晶圆代工厂商 均在积极布局先进制程,到全球最先进的量产集成电路制造工艺已经达到 7 纳米至 5 纳米,3 纳米技术有望在 2022 年前后进入市场。


Foundry 模式厂商目前处于集成电路制造环节主导地位。集成电路制造企业的 经营模式主要包括两种:1)IDM 模式,即垂直整合制造模式,其涵盖了产业链的集 成电路设计、制造、封装测试等所有环节,该模式下的集成电路企业属于典型的重资 产模式,对研发能力、资金实力和技术水平都有很高的要求,因而采用 IDM 的企业 大多为全球芯片行业的传统巨头,包括英特尔、三星电子等;2)Foundry 模式,即 晶圆代工模式,仅专注于集成电路制造环节,该模式源于集成电路产业链的专业化 分工,形成无晶圆厂设计公司、晶圆代工企业、封装测试企业。其中,无晶圆厂设计 公司从事集成电路设计和销售业务。晶圆代工企业以及封装测试企业为这类设计公 司服务。目前,世界领先的晶圆代工企业有台积电、格罗方德、联华电子和中芯国际 等。


集成电路产业由 IDM 模式演变为垂直分工的多个专业细分产业,未来将从全球 化分工迈向区域性产业链整合。集成电路产业从 20 世纪 50 年代至 70 年代的产业链 集于一身到现在的专业化分工模式,原因在于随着制造工艺水平的提高,集成电路 的产线建设、工艺研发及人才和资本需求不断增加,多数 IDM 无力承担巨额投入所 带来的风险。未来国家之间的竞争越来越体现为科技实力的竞争,半导体产业作为 科技发展的基础产业,现已成为各国的竞争焦点。国外瓦纳森协议以及对于华为的 制裁体现了在国内形成自主可控的半导体产业链的重要性,半导体制造是产业链中 最关键的一环,未来产业链区域化整合是必然趋势。


集成电路产业链的专业化分工趋势使得纯晶圆代工市场规模逐年扩大,全球晶 圆制造市场快速增长。根据 ICinsights 数据显示,2013 年全球纯晶圆代工市场规模 约 362 亿美元,2018 年达 576 亿美元,2013-2018 年 CAGR 为 8.1%,通过与无晶圆 厂设计公司等客户形成共生关系,晶圆代工企业能在第一时间受益于新兴应用的增 长红利。


中国大陆晶圆代工行业起步较晚,但发展速度较快,晶圆代工产业向中国转移趋势明显。根据中国半导体行业协会统计,2018 年中国集成电路产业制造业实现销 售额 1818 亿元人民币,同比增长 25.55%,相较于 2013 年的 601 亿元人民币,CAGR 达 24.78%,实现高速稳定增长,增速快于全球。


全球将逐步进入 5G 时代,以物联网为代表的新需求所带动的如云计算、人工 智能、大数据等新应用的兴起,逐渐成为集成电路行业新一代技术变革动力。根据 中国信通院《5G 经济社会影响白皮书》预测,5G 商用预计在 2020 年带动中国市场 约 4840 亿元的直接产出,并于 2030 年增长至 6.3 万亿元,CAGR 为 29%。5G 的正 式商用化将为新型芯片的上市带来更多机遇和挑战。集成电路下游应用领域的不断 延展带动了市场需求的持续旺盛。同时,伴随着全球的集成电路巨头不断加大资本 性投资力度,集成电路行业的景气度有望保持上升趋势,有利于集成电路制造企业 发展壮大。


2.2、 晶圆代工行业市场集中度较高,随技术节点提升继续提高


晶圆代工行业属于技术、资本和人才密集型行业,行业壁垒较高,因此市场集 中度高,呈明显的行业寡头垄断特征。根据 IC Insights 统计,2018 年全球市场纯晶 圆代工厂商 CR10 达 97%,前五大厂商(台积电、格罗方德、联华电子、中芯国际、 力晶科技)占全球市场 88%的市场份额。台积电占据市场第一的地位,市场份额为 59%,中芯国际占全球纯晶圆代工市场份额的 6%,位居全球第四位。


中国纯晶圆代工市场,中芯国际在中国大陆企业中排名第一。根据 IC Insights 公 布的 2018 年纯晶圆代工行业中国市场销售额排名,中芯国际占中国纯晶圆代工市场份额的 18%,位居国内企业首位。


由于随着技术节点的不断缩小,集成电路制造设备的资本投入越来越高,未来 市场集中度将会进一步提升。在摩尔定律的推动下,元器件集成度的大幅提高要求 集成电路线宽不断缩小,导致生产技术与制造工序愈为复杂,制造成本呈指数级上 升趋势。当技术节点向 5 纳米甚至更小的方向升级时,普通光刻机受其波长的限制, 其精度已无法满足工艺要求。因此,集成电路的制造需要采用昂贵的极紫外光刻机, 或采用多重模板工艺,重复多次薄膜沉积和刻蚀工序以实现更小的线宽,使得薄膜 沉积和刻蚀次数显著增加,意味着集成电路制造企业需要投入更多且更先进的光刻 机、刻蚀设备和薄膜沉积设备等,造成巨额的设备投入。以 5 纳米技术节点为例,其 投资成本高达数百亿美元,是 14 纳米的两倍以上,28 纳米的四倍左右。巨额的设备 投入只有具备一定规模的头部集成电路制造厂商可以负担,其进一步加剧了集成电 路制造行业向头部集中的趋势,为头部集成电路制造企业的发展创造了良好机遇。


2.3、相关企业


中芯国际:公司 N+1 工艺芯片流片成功,N+1 项目系公司第二代先进工艺,与 14nm 工艺相比,性能提升 20%、功耗降低 57%、逻辑面积缩小 63%,相当于台积电 10nm,目前已进入小量试产。尽管公司 2020 年资本开支计划因美国出口管制使部分 机台供货期延长或有不确定性,及物流原因导致部分机台到货延迟,从 457 亿元下修到 402 亿元。但短期来看,行业 8 寸晶圆产能供不应求,中芯国际作为大陆最先 进领先的代工厂,14nm FinFET 相关工艺可满足国内 95%芯片需求,目前订单饱满, 产能接近满载。长期来看,公司持续进行产能扩张,N+2 工艺的研发也提上日程,增 长潜力巨大。


3、 封测行业景气度较高,先进封装是未来趋势

3.1、 国内封测行业市场广阔,长期增速稳定


集成电路封装测试包括封装和测试两个环节,是半导体产业链和集成电路产业 链的下游。封装是集成电路产业链里必不可少的环节,具体过程是保护芯片免受物 理、化学等环境因素造成的损伤,增强芯片的散热性能,实现电气连接,确保电路正 常工作;测试主要是对芯片产品的功能、性能测试等,将功能、性能不符合要求的产 品筛选出来,以确保交付产品的正常应用。根据 Gartner 统计,封装环节价值占封测 比例约为 80%-85%,测试环节价值占比约 15%-20%。


封测行业在全球集成电路产业链占比较小,中国地区占据大部分市场份额。2019 年全球集成电路产业结构中,封装环节占比最小仅为 16%。根据 CSIA 统计,2019 年 中国大陆在全球封测市场的销售占比为 20%,拥有较大的市场份额。


全球封测市场规模达 564 亿美元,国内封测市场持续发展壮大。据 Yole 数据统 计数据,全球封测市场保持平稳增长,从 2011 年 455 亿美元增长至 2019 年 564 亿 美元,CAGR 约 2.7%。国内市场来看,据中国半导体行业协会数据统计,受益于半 导体产业向大陆转移,国内封测市场高速发展,增速显著高于全球,2019 年国内封 测行业市场规模达 2350 亿元,同比+7.1%,2011 年至 2019 年 CAGR 约 17.5%。


3.2、 封测行业市场集中度较高,国内龙头已进入国际第一梯队


全球封测行业市场集中度较高,中国封测行业全球市占率高达 64%,国内封测 龙头厂商已进入国际第一梯队。2019 年全球封测行业 CR10 达到 81%,企业龙头日 月光市场份额达 20.0%。从地区来看,中国台湾市占率为 43.9%,排名前十的企业中 有六家来自中国台湾。中国大陆市占率为 20.1%,长电科技、通富微电、华天科技分 别占比 11.3%、4.4%、4.4%。美国仅有安靠一家封测厂商排名前十,市占率为 14.6%。


近年封测行业并购频发,市场集中度持续提升,大陆封测厂也通过并购迅速提 升自身技术实力和市场规模。封测行业资本投入、进入壁垒、集中度介于 IC 设计和制造环节之间,同类企业间无较大技术差别,封测厂商初期竞争十分激烈,在竞争和 成本的双重压力下,头部企业通过并购实现规模扩张,从而提高自身集中度。国外企 业如力成科技收购 Micron Akita,日月光收购矽品精密,安靠收购欧洲封测龙头 Nanium。全球封测行业 CR5 由 2011 年的 51%提升至 2019 年的 64%,CR10 则由 2011 年的 65%提升至 2019 年的 81%。


3.3、 晶圆厂产能扩张带动封测需求,先进封装是未来趋势


产能扩张:国内晶圆制造崛起,国内封测行业迎来加速成长契机。据 SEMI 称, 2017 年至 2020 年间全球计划投产半导体晶圆厂 62 座,其中 26 座位于中国大陆,占 全球总数的 42%。根据 SEMI 数据,截止到 2019 年,中国大陆的晶圆厂达到 86 座。SEMI 预计中国晶圆产能将从 2015 年的每月 230 万片,增长至 2020 年的每月 400 万 片,2015-2020 年 CAGR 达到 12%。随着大批新建晶圆厂产能的释放以及国内主流 代工厂产能利用率的提升,晶圆厂的产能扩张也势必蔓延至中下游封装厂商,将带 来更多的半导体封测新增需求。


国产替代:半导体产业国产替代为封测行业带来机遇。2019 年华为实体名单事 件以来,国内 IC 从业者愈加深刻认识到核心技术自主可控的重要性,无论是集成电 路设计、制造还是封测,都开始着重培养与扶持本土供应企业,转单趋势愈加明显。随着未来中美摩擦的进一步加剧,全球半导体产业链将有可能迎来重构,而封测乃 是国内半导体最为成熟的一环,将持续受益。


5G 为封测行业带来巨大的发展机遇。5G 时代的来临,对移动端的频段接收是 巨大的考验,而射频前端的精细化、模组化发展也为封测行业带来庞大的市场需求。针对 5G 技术高密度、高速率、高可靠性、低功耗和低时延的特点,将催生出一系列 复杂的微系统封装形式。同样物联网对多功能、低成本、小体积的需求,也使得 SiP 和Fan-out等先进封装技术得到飞速的应用,成为目前应对5G通信的主流解决方案。5G 的使用案例远远超出了手机,从物联网到自动驾驶,在数据速率和延迟灵活性方 面给网络带来了新的挑战,也为半导体产业下游的封测行业带来了广阔的发展前景。


半导体封装技术发展大致分为四个阶段,芯片封装目前处于第三阶段成熟期, 正向第四阶段演进。全球封装技术的主流处于第三代的成熟期,主要是 CSP、BGA 封装技术,目前封测行业正在经历从传统封装(SOT、QFN、BGA 等)向先进封装 (FC、FIWLP、FOWLP、TSV、SIP 等)的转型。


摩尔定律发展受限,先进封装因能同时提高产品功能和降低成本是主流发展方 向。半导体行业的发展遵循摩尔定律,先进制程每两年更新一代,随着摩尔定律极限 的逼近,技术节点突破难度加大,而集成是超越摩尔定律的关键点,先进的封装技术 如 Sip 能实现在不单纯依赖半导体工艺缩小的情况下,提高集成度,以实现终端电子 产品的轻薄短小、低功耗等功能,同时降低厂商成本。


先进封装技术未来发展方向朝着两大板块演进。先进封装技术与传统封装技术 以是否焊线来区分,先进封装主要有带倒装芯片(FC)结构的封装、晶圆级封装(WLP)、 2.5D 封装、3D 封装等。未来发展方向一个是以晶圆级芯片封装WLCSP(Fan-In WLP、 Fan-out WLP 等),在更小的封装面积下容纳更多的引脚数;另一方向是系统级芯片封 装(SiP),封装整合多种功能芯片于一体,压缩模块体积,提升芯片系统整体功能性和 灵活性。


更高集成度的广泛需求,以及 5G、消费电子、物联网、人工智能和高性能计算等 大趋势的推动,先进封装规模预计保持较高增速。据 Yole 数据,先进封装在 2018- 2024 年间,将以 8%的 CAGR 成长,到 2024 年达到近 440 亿美元。在同一时期,传 统封装市场仅以 2.4%的 CAGR 成长,而整个 IC 封装产业 CAGR 约为 5%。


其中主流先进封装技术渗透领域广泛,预计 CAGR 超 26%。随着智能驾驶、 AIOT、数据中心及 5G 等市场的成熟,Yole 预计 2.5D/3DTSV 技术、FanOut 技术、 ED 等主流先进封装技术的市场规模 CAGR 将保持高速增长,分别达 26%、26%、 49%。


国内大陆封测厂技术平台已经基本和海外厂商同步,中国先进封装市场产值全 球占比较低,但是占比稳步提升。我国的封装业起步早、发展快,但是主要以传统封 装产品为主,近年来国内厂商通过并购,快速积累先进封装技术,技术平台已经基本 和海外厂商同步,WLCSP、SiP、TVS 等先进封装技术已经实现量产,2015-2019 年 先进封装占全球比例逐渐提升。


3.4、 相关企业


长电科技:(1)公司持续加码研发,专利数量丰富。(2)中芯国际成为公司大股东, 有利于打通产业链,发挥协同作用,同时中芯国际作为国内最先进晶圆代工厂,通富 微电有望受益中芯国际订单饱满、产能提升及其先进管理经验。(3)在先进封装技术 覆盖度上,公司与全球第一的日月光集团旗鼓相当。公司中国、韩国、新加坡的生产 基地和研发中心分工合作,在不同层级的封装领域不断深挖拓展,在先进封装领域 公司优势明显,叠加目前晶圆代工行业景气,订单饱满,以及晶圆厂积极扩产趋势, 公司未来增长潜力巨大。


通富微电:(1)公司第一大客户 AMD(占比 49%)通过先进架构+先进制程,Ryzen (锐龙)和 EPYC(霄龙)产品受市场认可,市占率稳定提升,叠加下游行业景气, 业绩持续增长。(2)公司第二大客户 MTK(占比 9%)领先发布旗舰 5G SOC,同时 5G 时代芯片高中低端全面布局,市占率逐渐提升,叠加未来 5G 手机出货量迅速增 长,未来市场份额有望进一步提升。(3) DRAM 规模快速扩张,且存储芯片对于我 国半导体产业发展意义重大,合肥长鑫是中国 DRAM 产业的希望,通富微电子公司 合肥通富与合肥长鑫密切合作。(4)公司计划定增 40 亿元已获证监会核准,主要用 于提高产能,叠加半导体行业景气度提升及国产替代趋势,未来将进一步释放利润。


华天科技:(1)公司总部位于天水,一是在动力成本、土地成本、人力成本上远低于 同行;二是能享受西部大开发的优惠政策,并且公司通过多种方式解决了地域和交 通运输方面的劣势,毛利率、净利率等高于同业公司,显示出公司较强的经营能力。客户资源优秀,疫情下积极拓展国内新客户 88 家,取得博世、安世等大客户订单。公司持续保持高强度研发投入,掌握了多项先进封装技术。(2)公司收购半导体老牌 先进封测厂 Unisem,有望发挥协同效应,将加速拓欧洲及国际市场,同时 Uneisem 的 PA 客户资源优秀,有利于公司切入射频领域头部厂商产线。(3)公司将投入 80 亿元资金积极布局南京项目,定位存储器、MEMS、人工智能等高端优质赛道,打造 集成电路先进封测产业基地项目,并于 7 月投产,有助于公司完善产业布局,未来增长可期。


4、 中美摩擦带来机遇,半导体设备面临国产替代良机

半导体制造步骤繁多,每一步都需要设备的支持。且不同设备市场规模差距较 大,其中刻蚀市场规模最大,达 120 亿美元,涂胶显影及热处理设备市场规模最小, 为 15 亿美元


半导体专用设备行业与半导体行业整体景气程度密切相关,也保持稳定增长态势,但半导体行业整体景气度降低,2019 年全球半导体设备行业也受到一定冲击。2019 年全球半导体设备销售额为 597.5 亿美元,较 2018 年下降了 7.4%。芯片制造 设备是半导体专用设备行业需求最大的领域。根据 Gartner 统计数据,2019 年全球 芯片制造厂商设备支出为 554.80 亿美元,预计 2021 年全球半导体设备行业开始复 苏,2024 年将增长至 602.14 亿美元,2020 年-2024 年 CAGR 为 6.27%。


根据 SEMI 数据,2019 年中国大陆半导体设备销售额 135 亿美元,同比上升 2.6%。


半导体设备市场集中度较高。2018 年,行业前三家 AMAT、ASML、Lam Research 的市场份额合计约占 50%,前五家 AMAT、ASML、Lam Research、TEL、KLA 市 占率合计为 71%。


4.1、 半导体清洗设备需求持续提升


半导体清洗设备市场需求持续提升,未来预计稳定增长。根据 Gartner 统计数据, 预计 2021 年随着全球半导体行业复苏,全球半导体清洗设备市场将呈逐年增长的趋 势,预计全球半导体清洗设备规模 2024 年将达到 31.93 亿美元,同时根据 Screen 数 据预测,国内 2020 年清洗设备的市场空间约为 11.92 亿美元。


按照清洗原理来分,清洗设备可分为干法清洗设备和湿法清洗设备。湿法工艺 是指使用腐蚀性或氧化性较强的溶剂进行喷雾或擦洗,使硅表面的杂质与溶剂发生 化学反应,生成可溶性物质或气体,从而将晶圆表面的颗粒或其他金属离子清洗掉。干法工艺指不使用化学试剂的清洗技术,包含等离子清洗、气相清洗等。在实际生产 过程中一般将两种方法结合使用,目前 90%以上的清洗步骤以湿法设备为主,少部分特定站点使用干法清洗来提高清洗效率。


清洗工艺升级,单片式清洗逐渐成为主流。在 45nm 工艺周期到来时,批量清洗 由于交叉污染、清洗均匀可控性和后续工艺相容性差等问题已无法适应新的清洗要 求,单片式清洗开始取代批量清洗。单片式清洗首先能够在整个制造周期提供更好 的工艺控制,即改善了单个晶圆和不同晶圆间的均匀性,这提高了良率;其次更大尺 寸的晶圆和更紧缩的制程设计对于杂质更敏感,批量清洗中若出现交叉污染则影响 会更大,进而危及整批晶圆的良率,这会带来高成本的芯片返工支出;另外圆片边缘 清洗效果更好,多品种小批量生产的适配性等优点也是单片式清洗的优势之一。截 至 2016 年,单片式清洗市场份额约占八成,槽式清洗设备约占两成。


4.1.1、 半导体设备市场集中度较高


全球半导体清洗设备市场集中度较高。在全球清洗设备市场,2018 年 CR5 为 98%,日本公司占据主导地位,Screen 占据 40%以上的市场份额,此外,TEL、SEMES、 Lam Research 等也在行业占据了较高的市场份额。而公司通过技术创新,已受到国 际市场认可。全球市场份额从高到低依次为:Screen、TEL、SEMES、Lam Research、 盛美股份。


 4.1.2、 产业链转移,技术要求提升增大清洗设备需求量


国外技术管制加速半导体产业链国产率提升,国产替代空间广阔。中国半导体 专用设备企业整体国产率还处于较低的水平,目前中国半导体专用设备仍主要依赖 进口。根据中国电子专用设备工业协会的统计数据,2018 年国产半导体专用设备销 售额为 109 亿元,自给率约为 13%,在集成电路制造设备领域自给率更低。而国外 对中国半导体技术管制由来已久且不断加强,1996 年签署的《瓦森纳协议》允许美 国、日本等成员国在自愿基础上对中国等国家实施包括光刻、测试、MOCVD 等半导 体设备技术出口管制,2019 年版《瓦森纳协议》再次增加了美、日等半导体技术出 口管制种类,2020 年 5 月 15 日,美国商务部宣布将全面限制华为购买采用美国软件 和技术生产半导体,包括那些处于美国以外,但被列为美国商务管制清单中的生产 设备。国外对我国历次半导体技术管制不断强化我国半导体产业链国产化需求,目 前中国部分半导体专用设备企业经过了十年以上的技术研发和积累,在部分技术领 域陆续取得了突破,成功地通过了部分集成电路制造企业的验证,成为了制造企业 的设备供应商,未来国产替代空间广阔。


工艺进步导致清洗步骤增加,加大对于半导体清洗设备需求量。芯片技术节点 不断提升,从 55nm、40nm、28nm 至 14nm、7nm 及以下,对晶圆表面污染物的控制 要求越来越高,往往光刻、刻蚀、沉积等重复性工序前后都需要清洗工序,清洗步骤 数量约占所有芯片制造工序步骤 30%以上,是所有芯片制造工艺步骤中占比最大的 工序。随着线宽微缩,晶圆制造良率提升的难度随着线宽缩小而日益加大,而提高良 率的方式之一就是增加清洗工艺,在 80-60nm 制程中,清洗工艺大约 100 多个步骤, 而到了 20-5nm 等先进制程,清洗到工艺上升到 200 多个步骤以上。根据 ACM 评估, 假设一条月产能在 10 万片的 DRAM 产线,良率下降 1%,将会导致企业一年 3000- 5000 万美元的损失。所以企业为了提高良率,必然会采用更多的清洗次数。


4.2、 刻蚀设备面临发展良机


半导体刻蚀设备全球市场规模超过 100 亿美元/年,三大巨头瓜分超过 90%的市场。根据 SEMI 数据,2019 年全球半导体制造设备销售额达到 598 亿美元,相比 2018 年的历史高点同比下滑 7%;其中,根据中微公司招股说明书,晶圆制造环节的刻蚀 设备约占所有设备的 19.4%,即 116 亿美元。全球半导体刻蚀设备行业的集中度很 高,主要参与者以泛林半导体、东京电子以及应用材料为主,占据全球 90%以上的 市场份额。


半导体刻蚀设备中国市场规模超过 180 亿元。根据 SEMI 数据,2019 年中国大 陆半导体设备销售额 135 亿美元,半导体刻蚀设备若按成熟市场 19.4%的占比测算, 中国大陆刻蚀设备市场规模可达 26 亿美元,合 183 亿元人民币。


4.2.1、 “新应用领域+全球性产业转移+制造工艺进步”使国内刻蚀设备市场面临黄金发展机遇


未来,中国半导体刻蚀设备厂商的机遇主要来源于三方面:1)以 5G、物联网、 汽车电子、AI 为代表的新兴下游应用市场的崛起;2)半导体产业的全球性转移,晶 圆厂陆续投产、扩产带动相关设备订单量大幅增加;3)工艺线宽缩小、复杂度提升,带动刻蚀设备用量增加、技术升级。


先进工艺向更小的节点发展,对刻蚀次数、设备技术要求更高。随先进制造的 工艺节点从 14nm-10nm 阶段向 7nm、5nm 甚至更小的方向发展,沉浸式光刻机受光 源波长的限制,无法满足越来越小的关键尺寸要求,必须采用多重模板工艺,通过多 次沉积、光刻、刻蚀实现更小的关键尺寸,使得包括刻蚀设备在内相关设备的重要性 进一步提升。此外,随着工艺节点的减小,刻蚀技术需要在刻蚀速率、各向异性、刻 蚀偏差、选择比、深宽比、均匀性、残留物、等离子体引起的敏感器件损伤、颗粒沾 污等指标上满足更高的要求,对刻蚀设备的技术进步上提出更高的要求。


3D NAND 层数增加对刻蚀技术实现更高深宽比提出要求。NAND 闪存已进入 3D 时 代,3D NAND 制造工艺中,增加集成度的主要方法不再是缩小单层上线宽而是增加堆叠 的层数。刻蚀要在氧化硅和氮化硅一对的叠层结构上,加工 40:1 到 60:1 的极深孔或 极深的沟槽。目前 64 层闪存已进入大规模生产阶段,长江存储 128 层闪存研发成功。3D NAND 层数的增加要求刻蚀技术实现更高的深宽比。


4.2.2、 相关企业


中微公司:1)全球半导体市场回暖,半导体产业向中国转移,国内下游厂商扩 产将拉动对上游设备的需求;IC 制造工艺的制程升级,对刻蚀工艺的使用频率、技 术要求提高,促使刻蚀设备用量的增加及技术升级;2)逻辑 IC 方面,高端刻蚀设备 已运用在国际知名客户 65-7nm 生产线上,已开发出 5nm 设备用于若干关键步骤加 工,并已获行业领先客户批量订单,正配合客户需求,开发新一代刻蚀设备和包括更 先进大马士革在内的刻蚀工艺,可涵盖 5nm 以下刻蚀需求和更多不同关键应用的设 备。3D NAND 方面,公司 CCP 可应用于 64 层量产,根据存储器厂需求正在开发新 一代可涵盖 128 层关键刻蚀应用以及对应极高深宽比的刻蚀设备和工艺。3)中微公 司是全球领先的氮化镓基 LED 用 MOCVD 设备生产商,市占率高达 41%。2017 年 以来,中微公司的 MOCVD 设备逐步打破维易科、爱思强的垄断,根据 IHS Markit 数据,2018 年公司 MOCVD 设备占据全球氮化镓基 LED 用 MOCVD 市场的 41%, 实现领先地位。


北方华创:公司作为国内泛半导体设备龙头公司,产品覆盖全面,市场空间广 阔,自身技术实力强大,有望受益于半导体设备行业景气度回升及国产化替代趋势。公司半导体设备竞争优势明显,多元化布局前景可期。短期从预收账款来看,在手订 单充足,中长期公司定增投入高端集成电路设备研发及扩产,继续提升研发能力及 产能,为未来增长储能。真空设备方面公司绑定隆基股份,有望受益于其产能扩张。公司新能源设备有望受新能源汽车的产量增长拉动,同时定增加强精密元器件竞争 力,市场份额有望不断提升。


至纯科技:公司作为高纯工艺系统龙头,同时布局半导体清洗工艺,产品受到市 场认可,有望受益半导体产业链向中国转移及半导体国产化趋势。(1)高纯工艺系统 应用广泛,高行业壁垒导致集中度较高。公司作为本土供应商,深耕高纯工艺系统, 在客户资源、技术积累方面等均具有优势。(2)半导体清洗设备前景良好,公司布局 湿法清洗设备,技术储备充分。(3)公司全资收购波汇科技,布局光传感领域,而光 传感市场规模稳定增长,且集中度低。收购波汇科技的协同效应将助力公司增长。


华峰测控:随着中国大陆多家晶圆厂陆续投建、量产,以及国内封测厂陆续扩大 产能,将持续带动测试设备市场高速增长。公司重视研发,维持高研发投入规模,研 发人员占比较高,拥有多项核心技术,在产品性能指标上均国内领先,部分指标与国 际一流水平持平。公司多次在国内率先推出领先产品,以自主技术研发产品有效地 实现了进口替代。公司拥有广泛且具有较高粘性的客户基础,客户留存率高,客户资 源优质。募投扩大产能,同时发展 SoC 和大功率测试机业务,而 SoC 测试设备市场 空间广阔,公司未来增长可期。


5、 半导体材料种类繁多,国产化替代趋势明显

半导体材料种类丰富,半导体制造的每个步骤均需用到不同种类的半导体材料, 且要求较高,目前半导体材料龙头仍以国外公司为主。


全球半导体材料市场规模稳定增长,中国半导体材料 2012-2019 年 CAGR6.8%。 2018 年全球半导体材料销售额 519.4 亿美元,同比增长 11%。在半导体国内市场规 模逐年增加的背景下,半导体材料行业发展迅猛,中国半导体材料销售额逐年增加, 由 2012 年的 55 亿美元增加为 2019 年 87 亿美元。


半导体材料行业硅片、湿化学品与光刻胶及配套化学品、电子气体、溅射靶材分 别占比约 37%、18%、13%、2%。


5.1、 半导体硅片规模持续扩大,国内企业加速追赶


5.1.1、 半导体硅片市场规模持续扩大


半导体硅片处于产业链上游,发挥着重要的行业基础支撑作用。硅材料因其具 有单方向导电特性、热敏特性、光电特性、掺杂特性等优良性能,可以生长为大尺寸 高纯度晶体,且储量丰富、价格低廉,故而成为全球应用最广泛、最重要的半导体基 础材料。目前全球半导体市场中,90%以上的芯片和传感器都是基于硅材料制造而成。半导体硅片是指由硅单晶锭切割而成的薄片,又称硅晶圆,作为半导体行业的核心 基础产品,为行业发展提供根本支撑。


半导体硅片可以按照尺寸、工艺等方式进行划分。按照尺寸划分,一般可分为 12 英寸(300mm)、8 英寸(200mm)、6 英寸(150mm)、5 英寸(125mm)、4 英寸 (100mm)等规格;按照工艺划分,一般可分为硅研磨片、硅抛光片、硅外延片等,其中以硅抛光片和硅外延片为主。


全球半导体硅片小幅波动,近来行业回暖后趋向稳态。2010 年至 2017 年受硅片 价格持续下降的影响,全球硅片行业在出货量增加的情况下出现硅片销售额持续降 低。由于 5G、AI、机器人、大数据等新兴技术驱动科技革新、通信技术进步,全球 数据量大幅提升,带动通信相关电子产品应用领域和数量同步增加,电子产品需求 增长带来大量的硅片需求,使得全球硅片市场从 2017 年开始进入新一轮增长周期。据 SEMI 统计,2019 年全球硅片市场规模为 112 亿美元,硅片出货量达 118 亿平方 英寸。


受益下游应用需求拉动,中国半导体硅片行业市场规模持续扩大。据 IC Mtia 统计, 2014 至 2019 年,受益终端需求旺盛和新兴产业的发展,我国硅片市场规模从 93.2 亿元 持续增长至 176.3 亿元,CAGR 达 13.6%,预计 2020 年市场规模将达 201.8 亿元。2018 年我国半导体硅片年产能达到 2393 百万平方英寸,6 英寸及以下尺寸硅片仍是目前国内 市场的主要产品,未来随着我国半导体硅片制造企业研发及生产能力不断提升、国际化 程度不断提高,预计我国 8 英寸及以上半导体硅片的产能将会有较大的提升。


5.1.2、 境外企业垄断,国内企业加快追赶世界水平


半导体硅片行业壁垒高,长期被境外先进企业垄断。半导体硅片是技术、资金、 人才密集型行业,随着半导体行业的飞速发展和下游终端应用的快速更新,半导体 硅片行业对技术积累、研发、资金实力和人才团队等的要求日益提高,后进企业由于 缺乏研发和技术的沉淀,难以突破行业的高壁垒,加入市场的竞争,因而市场集中度 较高。目前,全球前五大半导体硅片生产企业均为海外企业,分别是日本 Shin-Etsu、 日本 Sumco、台湾 Global Wafer、德国 Siltronic 和韩国 SK Siltron,2018 年 CR5 达 92.57%。


国内企业加大研发与投资,努力追赶世界先进水平。相较于国外发达国家,我 国半导体产业整体起步较晚,在半导体各细分行业同国外先进企业都存在较大差距。长期以来,我国半导体硅片供应商主要生产 6 英寸及以下产品,市场格局稳定。近 年来,硅片国产替代化需求强劲,国内企业加大对 8 英寸和 12 英寸硅片的研发和投资力度,取得了显著成效,8 英寸硅片生产已与国际先进水平差距甚小,12 英寸由 于核心技术难度高,尚无法实现量产,总体而言,国内企业追赶世界先进水平的步伐 有力且稳健。目前国内从事硅材料业务的公司主要有浙江金瑞泓、有研半导体、中环 股份、南京国盛等十余家,8 英寸硅片生产产线量产后不断扩大产能,12 寸产线技 术先行企业立昂微等加紧研发与投产,有望在未来实现 12 英寸硅片的大规模量产, 国内半导体硅片行业整体发展稳中向好。


5.2、 湿电子化学品集中度高,替代空间较大


湿电子化学品是指主体成分纯度大于 99.99%,杂质离子和微粒数符合严格要 求的化学试剂。湿电子化学品可应用于半导体、平板显示、太阳能以及 LED 等新兴 行业,半导体产业中集成电路行业对湿电子化学品的技术要求最高,在平板显示领 域的需求量最高。按用途,湿电子化学品可以分为通用化学品和功能性化学品两类, 需求占比分别为 88%和 12%。


5.2.1、 湿电子化学品市场发展迅速,集中度较高


湿电子化学品行业在半导体、平板显示以及太阳能等下游产业快速发展的推动 下,全球市场规模保持高速增长。据智研咨询统计,2011 年至 2018 年,全球湿电子 化学品市场规模 CAGR 约 11%,2018 年达到 53 亿美元,下游三大应用领域对湿电 子化学品的需求量约 307 万吨,其中半导体占比最高,达 43%。


随着国内半导体行业、平板显示行业以及太阳能行业的快速发展,国内湿电子 化学品市场规模逐渐扩大。2018 年国内湿电子化学品市场规模达 79.62 亿元,同比 增长 4.1%,2012 至 2018 年 CAGR 约为 14.8%。从下游领域需求细分情况来看,2018 年半导体行业湿电子化学品需求量为 28.27 万吨,平板显示行业需求量为 34.08 万 吨,太阳能行业需求量为 28.16 万吨,相比 2017 年都有所增加,特别是平板显示行 业,需求增加明显。


湿电子化学品位于半导体产业链的上游,技术壁垒较高,行业市场集中度较高。目前全球湿化学品的市场欧美、日、韩的大厂商占据全球 80%以上的市场规模,格 局相对稳定。其中高端半导体领域,主要有外企厂商如巴斯夫、三菱、住友等德、日 厂商占据,中端平板显示及中端半导体市场,国产化率为 10%,目前主要竞争对手 为韩国,光伏领域目前以国内品牌居多,技术门槛较低,竞争激烈,代表性厂商有江 化微、晶瑞股份、巨化股份及江阴润玛等。


超净高纯试剂 G5 为国际领先技术。国际半导体设备与材料组织(SEMI)将超 净高纯试剂划分为 5 类等级,其中 G5 为最高等级,是集成电路用化学品的基本要 求。


5.3、 特种气体国内空间巨大,国产替代大势所趋


5.3.1、 特种气体市场增长迅速


工业气体可分为大宗气体和特种气体。工业中把常温常压下呈气态的产品统称 为工业气体,其为现代工业的重要基础原材料,在国民经济中有着举足轻重的地位 和作用,被喻为“工业的血液”。根据制备方式和应用领域的不同,工业气体可分为 大宗气体和特种气体。大宗气体主要包括氧、氮、氩等空气分离气体及乙炔、二氧化 碳等合成气体。特种气体品种较多,主要包括电子特种气体、高纯气体和标准气体等 非电子特种气体。


半导体领域对特种气体的需求最大。特种气体按其应用领域可分为电子半导体、 化工、医疗、环保、高端装备制造等。其中,半导体领域对特种气体的需求最大。2018 年中国特种气体下游各细分领域中,电子半导体占比 41%,为第一大应用领域。


电子气体分为电子特种气体和电子大宗气体。特种气体在电子工业应用广泛, 包括离子注入、刻蚀、气相沉积、掺杂等。近年来随着电子工业的快速发展,电子气 体在半导体行业中的地位日益凸显。“电子气体”指可用于电子工业生产中使用的气 体,是最重要的原材料之一,在电子产品制程工艺中广泛应用于离子注入、刻蚀、气 相沉积、掺杂等工艺,被称为集成电路、液晶面板、LED 及光伏等材料的“粮食” 和“源”。电子半导体器件的性能优劣与电子气体的质量息息相关。《战略性新兴产业 分类(2018)》在电子专用材料制造的重点产品部分将电子气体分为电子特种气体和 电子大宗气体。


全球工业气体市场近年来呈现稳步增长的态势。2018 年全球工业气体市场规模 约为 1220 亿美元。根据产业领域的经验数据,工业气体行业增速一般为全球 GDP 增 速的 2.0-2.5 倍,假设 2018-2019 年全球工业气体增速按 8%测算,预计 2019 年全球工业气体市场规模可达 1318 亿美元,市场规模稳步扩大。


我国人均工业用气水平较低,预计未来仍将保持两位数以上增长。近年来我国 工业气体行业发展迅速,市场规模由 2010 年的 410 亿元上升至 2018 年的 1350 亿 元,2010-2018 年 CAGR 达 16.05%。但与发达国家相比,我国人均工业气体消费量 还处在较低水平,根据 2018 年的人均工业气体消费量统计,我国的人均工业气体消 费只有美国的 1/26,不足西欧与澳洲的 1/20,未来受益于 1)相对全球其他地区较高 的经济增速;2)政策促进转型升级,带动工业气体下游领域快速发展,预计中国工 业气体行业仍将保持两位数以上的增长。


特种气体市场规模发展迅速,预计未来仍将高速增长,空间广阔。根据卓创资 讯统计, 2017 年中国的特种气体市场规模约 178 亿元,2010-2017 年中国特种气体 市场平均增速达 15.48%,其中集成电路、显示面板、光伏能源、光纤光缆等半导体 领域的特种气体市场规模约 100 亿元。同时,由于经济新常态下更加强调经济结构 的优化升级,集成电路、显示面板、光伏能源、光纤光缆、新能源汽车、航空航天、 环保、医疗等产业对中国经济增长的贡献率将愈加突出。特种气体作为上述产业发 展不可或缺的关键性材料,预计其市场规模将保持持续高速发展,卓创资讯的预计2018-2022 年中国特种气体市场规模仍将以平均超过 15%的年增长率高速增长,到 2022 年中国特种气体市场规模将达 411 亿元。而在全球范围内,特种气体同样保持 了较高的增速,2017 年全球特种气体市场规模达 241 亿美元,较 2016 年同比增长 11.55%,特种气体市场空间广阔。


电子气体是仅次于大硅片的第二大市场需求半导体材料。电子气体在 2016 年的 半导体材料市场占比达 14%。随着半导体产业的发展,电子气体市场也随之增长, 2018 年电子特种气体市场规模 45.12 亿美元,同比增长 15.93%。而随着全球半导体 产业链向国内转移,国内电子气体市场增速明显,远高于全球增速。近年来国内半导 体市场发展迅速,在建和未来规划建设的产能为电子气体提供了广阔的空间。


下游产业技术快速更迭,对特种气体产品技术要求持续提高。特种气体广泛应 用于集成电路、显示面板、光伏能源、光纤光缆、新能源汽车、航空航天、环保、医 疗等领域,近年来下游产业技术快速更迭,例如集成电路领域晶圆尺寸从 6 寸、8 寸 发展到 12 寸乃至 18 寸,制程技术从 28nm 到 14nm 再到 7nm;显示面板从 LCD 向 OLED 乃至柔性面板发展;光伏能源从晶体硅电池片向薄膜电池片发展等。作为这些 产业发展的关键性材料,伴随着下游产业技术的快速迭代,特种气体的精细化程度 持续提高,对特种气体生产企业在气体纯度、混配精度等方面的技术要求都将持续 提高。


5.3.2、 市场集中度较高,寡头垄断明显


特种气体市场具有较高的技术、客户认证、资金壁垒。1)技术壁垒:特种气体 行业对于气源及其供应系统有着苛刻的要求,从事专业气体生产的企业,需拥有先 进的生产设备,积累丰富的纯化、充装、分析等技术,拥有大批经验丰富的技术团队 和工程力量。新进入者面临高昂的进入成本和技术壁垒。2)客户认证周期长:气体 行业的下游绝大部分客户是专业生产厂家,其对气体产品的质量、品牌和服务的认 同需要建立在长期合作的基础上。3)资金壁垒:工业气体行业需要较大规模的资本开支,同时还需采购大量精密监测、控制等设备。业内企业在扩大规模的过程中,往 往通过兼并收购方式横向布局,需要较强的资本实力和较高的资金壁垒。


较高的壁垒导致全球竞争格局高度集中。全球工业气体市场经过百余年的发展 和并购,已形成寡头垄断的竞争格局,少数几家气体生产企业占据了全球绝大多数 的市场份额。2018 年,前四大厂商中的德国林德集团与美国普莱克斯集团完成对等 合并成为全球最大的工业气体供应商。在 2018 年全球电子特种气体市场中,美国空 气化工、林德集团、法国液化空气和日本大阳日酸控制着全球 90%以上的市场份额, 形成寡头垄断局面。


国内企业技术较为落后,国内市场海外 CR4 市占率达 88%,国外垄断格局明 显,国产自给率低。国内特种气体于 20 世纪 80 年代随着国内电子行业的兴起而逐 步发展,并且随着医疗、食品、环保等行业的发展应用领域和产品种类不断丰富,由 于技术、工艺、设备等多方面差距明显,发展初期特种气体产品基本依赖进口。2018 年国内电子特种气体市场中,海外 4 大气体巨头控制了 88%的市场份额,我国电子 气体受制于人的局面十分严重。从 2012 年至 2016 年,国内电子气体企业生产销售 额占中国晶圆制造电子气体的比例由 3.8%增加至 25.0%。国内电子气体市场接近全 球的 1/3,且比例还在不断提高,但国内自给率却依旧较低,近八成产品依赖进口。与国外气体公司相比,大部分国内气体公司的供应产品较为单一,纯度级别不高,尤 其在集成电路、液晶面板、LED、光纤通信、光伏等高端领域,相关特种气体产品主 要依赖进口。根据中国工业气体工业协会统计,目前集成电路生产用的特种气体,我 国仅能生产约 20%的品种,其余均依赖进口。目前我国国内企业所能批量生产的特 种气体仍主要集中在集成电路的清洗、蚀刻、光刻等工艺环节,对掺杂、沉积等工艺 的特种气体仅有少部分品种取得突破。


随着技术逐步突破,国内企业仍有机会。国内气体公司在电光源气体、激光气 体、消毒气等领域发展迅速。尽管与国际气体公司相比,国内气体公司在资金、技术、 设备等方面仍有差距,但在技术不断突破、国家政策大力扶持、下游市场发展迅速等 多重因素影响下,加上国内企业拥有的国际企业无法比拟的低成本、贴近客户、反应 灵活等优势,国内气体企业的竞争力将不断增强,市场份额有望扩大,国内气体公司 通过多年持续的研发和投入,已陆续实现 IC 用高纯二氧化碳、高纯六氟乙烷、光刻 气等多个产品的进口替代。


目前国内市场形成外资巨头、国内专业气体供应商、空分设备制造商共同竞争 的局面,内资企业争夺的焦点集中在零售气市场与中小型现场制气市场。零售气市 场与中小型现场制气市场已成为内资企业争夺的焦点。由于外资企业在国内的发展 战略主要定位于大型现场制气市场,因此零售气市场与中小型现场制气市场已成为 内资企业争夺的焦点。零售气市场在我国起步较晚,且由于大宗气体运输半径的限 制而具有较强的地域性特点。此外由于工业气体行业较空分设备行业具有市场容量 大、现金流稳定、行业周期性波动小等诸多优势,近年来国内大型的空分设备生产企 业如杭氧股份、四川空分集团也开始由单纯的设备制造商向大型现场制气供应商转 型。


5.3.3、 特种气体国产化是大势所趋


特种气体国产化需求迫切,国家对于特种气体有积极的政策支持。自 20 世纪 80 年代中期特种气体导入中国市场,中国的特种气体行业已经过了30 年的发展和沉淀, 随着不断的经验积累和技术进步,业内领先企业已在部分产品上实现突破,达到国 际通行标准,逐步实现了进口替代,特种气体国产化具备了客观条件。在需求层面, 国内近年连续建设了多条 8 寸、12 寸大规模集成电路生产线、高世代面板生产线等, 为保障供货稳定、服务及时、控制成本等,特种气体国产化的需求迫切。工业气体行 业是我国产业政策重点支持发展的高新技术产业之一。科技部、财政部、国家税务局 联合发布的《高新技术企业认定管理办法》(2016)将“超净高纯试剂及特种(电子) 气体”、“天然气制氢技术”、“超高纯度氢的制备技术”、“废弃燃气回收利用技术”等 列为国家重点支持的高新技术领域。由于工业气体广泛应用于集成电路、液晶面板、 LED、光纤通信、光伏、医疗健康、节能环保、新材料、新能源、高端装备制造等国 家重点发展的新兴行业,国家对这些行业制定的鼓励政策和支持国产化政策也能间 接推动工业气体行业的快速发展。因此,在技术进步、需求拉动、政策刺激等多重因 素的影响下,特种气体国产化势在必行。


由于运输成本优势、产品价格优势以及技术追赶,特种气体国产化是大势所趋。 目前我国正逐步实现特种气体的国产化,建立起一套完整的生产和供应体系。同国 外厂家比较,国内企业的优势主要表现在:1)运输成本具有明显的优势。特种气体 作为危险化学品,产品包装、运输有严格的规定,部分产品的进出口受相关国家管 制,进口周期长、容器周转困难,给客户使用和售后服务带来很多不便,比如从美国 进口特种气体,海运及通关手续需要近 2 个月的时间,包装容器的周转效率极低, 运输成本非常高甚至高于气体本身价格。国内特种气体企业物流成本低,供货及时。2)尽管开发、研究起步晚,但是部分产品的容器处理技术、气体提纯技术、气体充 装技术和检测技术已经达到国际通行标准。所以特种气体国产化是未来行业发展的 必然趋势。


5.3.4、 相关企业


金宏气体:公司作为国内特种气体龙头,产品包含特种气体、大宗气体和天然气 等,技术领先,客户资源优秀,公司布局 TGCM 模式及募资加强产能及研发。行业 方面,国内政策积极支持,下游行业景气回升,特种气体国产替代大势所趋,公司未 来增长潜力较大。


华特气体:公司作为国内特种气体龙头,产品包含特种气体、普通工业气体、气 体设备与工程等,能够给客户提供定制化服务及一站式服务。(1)公司重视研发,研 发投入占比持续提升,核心技术处于先进水平,产品丰富且具有较强竞争力,是国内 经营气体品种最多的企业之一,Ar/F/Ne、Kr/Ne、Ar/Ne 和 Kr/F/Ne 等 4 种混合气通 过 ASML 产品认证,参与制定国家气体标准,先发优势明显。(2)公司具备业内领 先的物流配送能力,同时客户资源优秀。(3)行业方面,国家政策的大力支持行业发 展,下游产业发展迅速,市场需求持续扩大,特种气体国产化需求迫切。(4)公司募 投项目提高产能及研发能力,未来盈利能力将进一步增强。


5.4、 溅射靶材行业增长迅速,市场集中度较高


5.4.1、 全球溅射靶材行业规模增长迅速,平板显示、光伏、半导体是主要应用领域


高纯金属溅射靶材主要是指纯度为 99.9%-99.999%的金属靶材,应用于电子元 器件制造的物理气象沉积(PVD)工艺,是制备电子薄膜的关键材料。溅射是制备薄膜 材料的主要技术之一,它利用离子源产生的离子,在真空中经过加速聚集而形成高 速度能的离子束流,轰击固体表面,离子和固体表面原子发生动能交换,使固体表面 的原子离开固体并沉积在基底表面,被轰击的固体是用溅射法沉积薄膜的原材料, 称为溅射靶材。


PVD 技术已成为目前主流镀膜方法,溅射靶材亦成为目前市场应用量最大的 PVD 镀膜材料。薄膜材料制备技术主要包括物理气相沉积(PVD)技术和化学气相 沉积(CVD)技术。经过多年发展,PVD 技术已成为目前主流镀膜方法,主要包括 溅射镀膜和真空蒸发镀膜。真空蒸发镀膜技术具有简单便利、操作方便、成膜速度快 等特点,主要应用于小尺寸基板材料的镀膜。溅射镀膜工艺可重复性好、膜厚可控 制,可在大面积基板材料上获得厚度均匀的薄膜,所制备的薄膜具有纯度高、致密性 好、与基板材料的结合力强等优点,已成为制备薄膜材料的主要技术之一,各种类型 的溅射薄膜材料已得到广泛的应用。


全球溅射靶材市场规模较大,预计未来稳定增长。据立木信息咨询数据,2017 年全球溅射靶材市场容量达 132.5 亿美元,预计 2020 年全球溅射靶材市场规模超过 200 亿美元。


溅射靶材主要应用在平板显示、光伏电池、半导体等领域。其中,在溅射靶材应 用领域中,半导体芯片对溅射靶材的金属材料纯度、内部微观结构等方面都设定了 极其苛刻的标准,需要掌握生产过程中的关键技术并经过长期实践才能制成符合工 艺要求的产品。因此,半导体芯片对溅射靶材的要求是最高的,价格也最为昂贵。


高纯溅射靶材是伴随着半导体工业的发展而兴起的,集成电路产业成为目前高 纯溅射靶材的主要应用领域之一。随着信息技术的飞速发展,要求集成电路的集成 度越来越高,电路中单元器件尺寸不断缩小。每个单元器件内部由衬底、绝缘层、介 质层、导体层及保护层等组成,其中,介质层、导体层甚至保护层都要用到溅射镀膜 工艺,因此溅射靶材是制备集成电路的核心材料之一。集成电路领域的镀膜用靶材 主要包括铝靶、钛靶、铜靶、钽靶、钨钛靶等,要求靶材纯度很高,一般在 5N(99.999%) 以上。


中国半导体靶材市场增长迅速,预计未来仍将快速增长。据智研咨询数据,中 国半导体靶材市场规模 2019 年达 47.7 亿元,同比增长 35.9%。随着智能手机、平板 电脑、汽车电子等终端消费领域对半导体需求的持续增长,尤其是消费电子产品与 互联网、移动互联网的紧密结合,导致手机、平板电脑、智能电视等网络接入终端产 品的应用面持续扩大,预计全球半导体市场在未来将保持持续增长的态势。芯片产 业是大数据、云计算、互联网的基础产业。这些产业的迅猛发展为芯片带来了强劲的 市场需求。由于半导体行业所需溅射靶材品种繁多,且每一种需求量都较大,稳定的 下游市场增速将有力地促进溅射靶材销售规模的扩大,据智研咨询数据,中国半导体靶材市场规模 2022 年预计达 75.1 亿元。


镀膜是平板显示行业不可或缺环节之一。平板显示器多由金属电极、透明导电 极、绝缘层、发光层组成,为了保证大面积膜层的均匀性,提高生产率和降低成本, 溅射技术越来越多地被用来制备这些膜层。平面显示镀膜用靶材主要品种有:铬靶、 钼靶、铝靶、铝合金靶、铜靶、铜合金靶和掺锡氧化铟(ITO)靶材等。


平板显示靶材市场迅速增长,预计未来仍将快速增长。中国大陆在政府政策导 向和产业扶植下,我国大陆液晶显示产业快速发展,而传统的液晶面板生产强国韩 国、日本出于降低制造成本等因素的考虑,近年来加大在我国大陆投资设厂的力度, 通过产业转移的方式提升全球市场竞争力。因此,近年来国内的平板显示靶材产业 规模迅速增长,据智研咨询数据,2018 年中国平板显示靶材市场规模为 120.7 亿元, 同比增长 27.8%。基于产品价格、采购本地化等考虑,面板制造商特别是液晶显示器 对材料国产化的需求十分迫切,未来随着产能向国内转移,平板显示靶材需求规模 有望进入长期增长,预计 2022 年国内平板显示靶材市场将达 206.5 亿元。


薄膜太阳能电池比传统的晶体硅太阳能电池具有更加广阔的市场空间。太阳能 电池主要包括晶体硅太阳能电池和薄膜太阳能电池,其中,晶体硅太阳能电池按照 生产工艺不同可分为硅片涂覆型太阳能电池以及 PVD 工艺高转化率硅片太阳能电 池,硅片涂覆型太阳能电池的生产不使用溅射靶材,晶体硅太阳能电池转化效率较 高、性能稳定,且各个产业环节比较成熟,在太阳能电站等主流领域已经得到了广泛 的应用,占据了太阳能电池市场的主导地位;与晶体硅太阳能电池相比,薄膜太阳能 电池大大减少了材料用量,从而大幅降低了制造成本和产品价格,同时,薄膜太阳能 电池还具有制造温度低、应用范围大等特点,从市场前景来看,薄膜太阳能电池在光 伏建筑一体化、大规模低成本发电站建设等方面比传统的晶体硅太阳能电池具有更 加广阔的市场空间。


全球光伏累计装机容量不断增长,未来预计仍将保持稳定增长。根据 IRENA 数 据显示,2011-2018 年全球光伏累计装机容量维持稳定上升趋势,2018 年为 97.3GW, 同比增长 25.0%,据前瞻产业研究院预计,未来全球光伏累计装机容量还将会继续保 持增长,2025 年将会达到 1722.1GW


中国光伏累计装机容量已突破 200GW ,预计未来仍将保持高速增长。2019 年, 受到政策的影响,国内新增装机容量为 30.1GW。根据 CPIA 的预测,2020 年国内新 增装机容量在保守情况下可达到 49GW,在乐观情况下有望达到 50GW。


太阳能光伏产业的快速发展给太阳能电池用溅射靶材市场带来了巨大的成长空 间,预计太阳能电池用溅射靶材市场未来仍有望高速增长。现今制备太阳能电池较 为常用的溅射靶材包括铝靶、铜靶、钼靶、铬靶以及 ITO 靶、AZO 靶(氧化铝锌, AluminumZincOxide)等,纯度要求一般在 99.99%以上,其中,铝靶、铜靶用于导电 层薄膜,钼靶、铬靶用于阻挡层薄膜,ITO 靶、AZO 靶用于透明导电层薄膜。据智 研咨询数据显示,2014 年-2018 年我国太阳能电池用溅射靶材市场规模从 4.6 亿元增 至 23.3 亿元,每年增速维持在 30%以上。未来伴随光伏行业的增长以及薄膜电池产 量的提升,太阳能用溅射靶材也有望保持较高速度的增长。


5.4.2、 全球靶材市场主要由日美公司所掌控,市场集中度较高


高纯溅射靶材是典型的技术密集型产业,产品技术含量高,研发生产设备专用 性强。随着半导体工业技术创新的不断深化,以美国、日本为代表的半导体厂商需要加强对上游原材料的创新力度,从而最大限度地保证半导体产品的技术先进性,因 此,美国、日本的半导体工业相继催生了一批高纯溅射靶材生产厂商,并于当前居于 全球市场的主导地位。


全球靶材市场主要由日美公司所掌控,市场集中度较高。日矿金属、霍尼韦尔、 东曹、普莱克斯、住友化学、爱发科等资金实力雄厚、技术水平领先、产业经验丰富 的跨国公司居于全球高纯溅射靶材行业的领导地位,属于溅射靶材的传统强势企业, 凭借其强大的技术研发实力和市场影响力牢牢占据全球溅射靶材市场的绝大部分市 场份额,2019 年全球靶材市场 CR4 达 80%,其中日矿金属是全球最大的靶材供应 商,靶材销售额约占全球市场的 30%,霍尼韦尔在并购 Johnson Mattey、整合高纯铝、 钛等原材料生产厂后,占到全球市场约 20%的份额,此外,东曹和普莱克斯分别占 20%和 10%。


受到技术、资金和人才的限制,国内专业从事高纯溅射靶材的生产厂商数量仍 然偏少,主要集中在低端产品领域进行竞争。国内市场中,高纯溅射靶材产业起步 较晚,主要高纯溅射靶材生产企业均由国有资本和少数民营资本所投资。经过数年 的科技攻关和产业化应用,国内高纯溅射靶材龙头企业已经逐渐突破关键技术门槛, 拥有了部分产品的规模化生产能力,整体实力不断增强,形成了以江丰电子、有研新 材等为代表的专业从事高纯溅射靶材的生产商,正在经历高速发展时期,上升势头 较快,打破了溅射靶材核心技术由国外垄断、产品供应完全需要进口的不利局面,不 断弥补国内同类产品的技术缺陷,进一步完善溅射靶材产业发展链条,并积极参与 国际技术交流和市场竞争。


国产替代进行时,国家战略扶植溅射靶材产业发展壮大。为了促进我国溅射靶 材产业规模较快增长,增强技术创新能力,加速溅射靶材供应本土化进程,近年来, 国家制定了一系列产业政策引导溅射靶材工业健康稳定发展,同时,国家高技术研 究发展计划(简称“863 计划”)、国家科技重大专项“极大规模集成电路制造设备及 成套工艺”专项基金(简称“02 专项”)、发改委的战略转型产业化项目都有针对性 地把溅射靶材的研发及产业化列为重点项目。国家产业政策、研发专项基金的陆续 发布和落实,均为溅射靶材行业的快速发展营造了良好的产业环境,将有力地引导 溅射靶材产业持续健康发展,进一步增强企业实力。


标签:今日头条机械商道机械应用人工智能市场评论设备与仪器配件与装备系统及软件工业设备
免责声明: 本文仅代表作者本人观点,与本网无关。本网对文中陈述、观点判断保持中立,不对所包含内容的准确性、可靠性或完整性提供任何明示或暗示的保证。请读者仅作参考,并请自行承担全部责任。本网转载自其它媒体的信息,转载目的在于传递更多信息,并不代表本网赞同其观点和对其真实性负责。如因作品内容、版权和其它问题需要同本网联系的,请在一周内进行,以便我们及时处理。客服邮箱:service@cnso360.com | 客服QQ:23341571

全站地图

深圳网络警察报警平台 深圳网络警
察报警平台

公共信息安全网络监察 公共信息安
全网络监察

经营性网站备案信息 经营性网站
备案信息

中国互联网举报中心 中国互联网
举报中心

中国文明网传播文明 中国文明网
传播文明

深圳市市场监督管理局企业主体身份公示 工商网监
电子标识